eda软件开发工程师的前景(eda工具开发就业前景) 软件开发

今天给各位分享eda软件开发工程师的前景的知识,其中也会对eda工具开发就业前景进行解释,如果能碰巧解决你现在面临的问题,别忘了关注本站,现在开始吧!

本文目录一览:

  • 1、EDA技术的前景是什么?
  • 2、我想知道EDA的发展前景和EDA开发的优缺点
  • 3、EDA技术的发展趋势与应采取的对策
  • 4、IC验证工程师 去做EDA工具软件开发工程师 从职业面和前景来说好吗?EDA公司是cadence mentor这样的公司。
  • 5、eda技术就业怎么样

EDA技术的前景是什么?

专业培养目标:培养掌握电子产品工艺设计的基本理论,具有电子设备的设计、制造、调试、安装、维修、管理能力的高级技术应用性专门人才。

专业核心能力:电子设备的工艺设计和管理能力。

专业核心课程与主要实践环节:机械设计、集成电路原理与应用、电子技术、自动控制原理、单片机原理与应用、电子设备结构与工艺、电子设计自动化(EDA)、微机原理与接口技术、电子测量原理、信号处理技术、机械设计实训、电子技术实训、电子设计自动化EDA实训等,以及各校的主要特色课程和实践环节。

可设置的专业方向:

就业面向:在电子产品生产企业,从事电子产品的工艺设计和电子产品的设计、制造、调试、维修和管理等工作。

就业前景还是不错的

我想知道EDA的发展前景和EDA开发的优缺点

EDA(Electronic Design AUTOMATION)工程就是以计算机为工作平台,以EDA软件工具为开发环境,以可编程器件为实验载体,以ASIC、SOC芯片为目标器件,以电子系统设计为应用方向的电子产品自动化设计过程。EDA工程广义的定义范围包括半导体工艺设计自动化、可编程器件设计自动化、电子系统设计自动化、印刷电路板设计自动化、仿真与测试故障诊断以及形式验证自动化。EDA工程的狭义的定义范围是电子设计自动化,不包含电子生产自动化。随着半导体工艺水平的不断提高,芯片中已经能够集成几百万门电路,一个完整的数字系统集成于一块芯片上(SYSTEM On a Chip-SOC)已成为可能,而经典的电子设计方法完成这样的设计已十分困难。随着电子技术、计算机硬件、软件的不断发展,计算机应用水平的不断提高,人们已能利用计算机进行电子系统辅助设计,大大提高了设计效率,减轻了设计人员的劳动,缩短了设计周期,提高了设计成功率,减少了设计缺陷。

EDA工具的出现,给电子系统设计带来了革命性的变化。随着INTEL公司Pentium处理器的推出,ALTERA、XILINX等公司几十万门乃至上百万门规模的FPGA的上市,以及大规模的芯片组和高速、高密度印刷电路板的应用,EDA工程在功能仿真、时序分析、集成电路自动测试、高速印刷电路板设计及操作平台的扩展等方面都面临着新的巨大的挑战。这些问题实际上也是新一代EDA技术未来发展的趋势。

EDA工程的主要设计对象是超大规模专用集成电路,怎样对一片超大规模集成电路进行功能划分、行为描述、逻辑综合、时序分析、故障测试、形式验证是EDA工程解决的主要问题。EDA工具是一种以计算机为基本工作平台,利用计算机图形学、拓扑逻辑学、计算数学以及人工智能学等多种计算机应用学科的最渐成果而开发出来的一整套软件工具,是一种帮助电子设计工程师从事屯子元件产品和系统设计的综合工具。EDA工程的主要特征是:硬件工具采用工作站和高档微机,软件采用EDA工具,功能包括:原理图输入、硬件描述语言输入、波型输入、仿真设计、可测试设计、逻辑综合、形式验证、时序分析等各个方面。设计方法采用自顶向下的方法,设计工作从高层开始,使用标准化硬件描述语言(VHD或VerilogHD等)描述电路行为,自顶向下跨过各个层次,完成整个电子系统的设计。EDA工程另一特征是肿模块的设计和可重复利用。由于IP的重复利用,引发的IP模块可交流性。电子文件格式转换问题,不同EDA工具的相互兼容问题,都是EDA工程研究的范畴。EDA工程采用高级语言描述,具有系统级仿真和综合能力。它主要采用并行工程和”自顶向下”的设计方法,使开发者从一开始就要考虑到产品生成周期的诸多方面,包括质量、成本、开发时间及用户的需求等。然后从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用VHDL、VHDL、VerilogHDL等硬件描述语言对高层次的系统行为进行描述。在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。近几年,硬件描述语言等设计数据格式的逐步标准化,不同设计风格和应用的要求导致各具特色的邱A工具被集成在同一个工作站上,从而使EDA框架结构日趋标准化。集成设计环境日趋完善。

EDA工具的开发经历了两个大的阶段:物理工具阶段和逻辑工具阶段。物理工具用来完成设计中的实际物理问题,如芯片布局、印刷电路板布线等;逻辑工具是基于网表、布尔逻辑、传输时序等概念。首先由原理图编辑器或硬件描述语言进行设计输入,然后利用EDA系统完成综合、仿真、优化等过程,最后生成物理工具可以接受的网表和VHDL、VerilogHDL的结构化描述。2.SOC设计存在的问题和面临的挑战

面向SOC的设计方法主要包括三个方面:基于单片集成系统的软硬件协同设计和验证技术、I核生成及复用技术、超深亚微米(UDSM)集成电路的设计理论和技术。基于单片集成系统的软硬件协同设计和验证理论是从一个给定的系统任务和行为需求描述着手,进行有效地系统任务和所需资源的分析,并对系统任务和行为需求进行划分和变换。按照一定法则和规定能自动生成符合系统功能和行为规范要求的硬件和软件架构,并能按照事先的约定进行符合验证。SOC的关键元素的IP核生成及复用技术主要是指构成所要求规格的硬核 (HardCore)、软核(SoftCore)和固核(Firm Core)生成理论和方法及复用技术两个方面。所谓设计复用包含设计文件复用技术和如何生成可被他人复用的设计文件。超深亚微米 (UDSM)集成电路的设计理论和技术是指集成电路设计规格(沟道、线宽等)进入0.1mm以下(即通常所说的纳米级设计)面临的挑战和所涉及的理论与方法等。

目前的SOC设计方法所涉及的理论基础基本上建立在等比例规则之上或在准等比例规则之上。当芯片设计进入纳米后出现许多新的物理现象,这是设计者事先估计不到的。除此以外,芯片复杂性带来的SOC可测性问题、信号完整性问题、内联功耗问题、芯片的天线效应和电磁效应问题以及有可能冲击许多已经存在的极限,如封装极限等,这都严重制约着SOC的深亚微米设计技术的发展。现今,工作站/台式计算机中的微处理器接到外界的热阻值 (等于在连接温度减去外界温度后除以芯片功耗)的允许极限在0.6-1°C/W范围内(相当于环境温度45°C时,连接温度约100°C)。ITRS推算预测鉴于成本限制,连接温度要从1999年的100°C降到85°C,即热阻值在未来三年要控制在0.25°C/W之内。这留给设计课题的空间就相当有限。因此必须变革设计方法,必须研究EDA存在的理论和方法。3.EDA海外发展

3.1 发展概述

2000至2003年间,高科技产业从总体上而言遇到了极大的挑战。如图1所示,整个的市场条件处于一片暗淡之中,全球半导体RD的支出正在不断减少,由此也影响了整个相应的市场,EDA公司的研发支出也在缩小,2002年下降了2%。现今所面临的一个主要挑战是如何继续生存和繁荣,此时就更需要创新投资新技术:EDA必须适合变化的产业条件和结构而变化。客户-供应商之间的关系正发生本质性的变化。当半导体和系统公司合理分配它们在EDA技术中的投资时,伙伴关系就越来越重要了。鉴于此,半导体技术现在所需的投资规模是前所未有的,发生着根本的转变,同时也暴露出了现今设计方法和工具所存在的局限。因此无怪乎许多公司延迟了引入90纳米的技术节点。由于nonrecurring ENGINEERING (NRE)和掩膜成本的提高,拥有ASIC设计所有权的成本正在增加。各个设计公司避免设计初始,而选择用软件进行标准和定制设计。可以这样说,传统的以ASIC为中心的EDA市场正在逐渐消退,而需要重新考虑整个设计过程。系统级的设计理念正主导着将来电子系统新平台的定义。不过,到目前为止,这个趋势并不明显,不过这种趋势正不断显现。

现在对于EDA团体而言别无选择,只能寻求其它的应用领域。EDA的主要客户-半导体产业,正寻求其产品的另一个新的具有非常广泛影响应用领域,之前的主要应用领域为PC和移动电话。

电子学至今尚未渗透至引起人们极大兴趣的应用领域,这种想法得到了普遍的认同。这种具有潜在的应用聚焦于从社会利益考虑的信息技术研究的中心。如果我们认为这些应用理应主导将来的电子领域,那么EDA要做些什么来支持它们呢?一般来说,设计类型的选择应该有助于其各种形式的重复使用,如果NRE和掩膜成本按常数增长,那么相应的软件会比现在更易于使用。特别通讯协议也将在设计过程中扮演重要的角色。在设计方法的历史发展中,设计生产率的变化总是与在设计俘获中提高提取的水平相关,如图2所示为提取水平的变化趋势。将来,EDA必须以比今天更粗略的间隔尺寸的块来进行工作以提供所需的生产率的增长,现在必须将视线转到系统级设计之上。系统描述的神奇语言的出现,如SYSTEMC 和SYSTEM Verilog就是随着这种趋势而发展出现的。但是,它们在更高水平的提取过程中会在系统设计问题上出现不足,大多是由于它们本身缺少一种清晰、明确的合成语义学系统。

核心竞争上去了,而将分派工程和系统组件的任务给了其它公司。例如ERICSSON和Nokia正逐渐减少对于芯片设计的涉及。结果,半导体公司就必须为它们的战略客户提供更多的服务,于是一些工程的责任就转移了。同时,半导体公司正越来越依靠专业公司提供的知识产权,如提供处理器产权核心的ARM和提供库产权的Artist。一些制造公司也开始了转变,如IBM和TSMC。(

EDA技术的发展趋势与应采取的对策

EDA技术的发展趋势与应采取的对策

《EDA技术》以电路实例为基础,将许多界面和知识的讲解融入到具体的电路绘制中,图文并茂、易学易懂。下面是我整理的关于EDA技术的发展趋势与应采取的对策,欢迎大家参考!

1.EDA技术的概念

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

EDA设计可分为系统级、电路级和物理实现级。

2 EDA常用软件

EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。下面按主要功能或主要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其它EDA软件,进行简单介绍。

2.1 电子电路设计与仿真工具

我们大家可能都用过试验板或者其他的东西制作过一些电子制做来进行实践。但是有的时候,我们会发现做出来的东西有很多的问题,事先并没有想到,这样一来就浪费了我们的很多时间和物资。而且增加了产品的开发周期和延续了产品的上市时间从而使产品失去市场竞争优势。有没有能够不动用电烙铁试验板就能知道结果的方法呢?结论是有,这就是电路设计与仿真技术。

说到电子电路设计与仿真工具这项技术,就不能不提到美国,不能不提到他们的飞机设计为什么有很高的效率。以前我国定型一个中型飞机的设计,从草案到详细设计到风洞试验再到最后出图到实际投产,整个周期大概要10年。而美国是1年。为什么会有这样大的差距呢?因为美国在设计时大部分采用的是虚拟仿真技术,把多年积累的各项风洞实验参数都输入电脑,然后通过电脑编程编写出一个虚拟环境的软件,并且使它能够自动套用相关公式和调用长期积累后输入电脑的相关经验参数。这样一来,只要把飞机的外形计数据放入这个虚拟的风洞软件中进行试验,哪里不合理有问题就改动那里,直至最佳效果,效率自然高了,最后只要再在实际环境中测试几次找找不足就可以定型了,从他们的波音747到F16都是采用的这种方法。空气动力学方面的数据由资深专家提供,软件开发商是IBM,飞行器设计工程师只需利用仿真软件在计算机平台上进行各种仿真调试工作即可。同样,他们其他的很多东西都是采用了这样类似的方法,从大到小,从复杂到简单,甚至包括设计家具和作曲,只是具体软件内容不同。其实,他们发明第一代计算机时就是这个目的(当初是为了高效率设计大炮和相关炮弹以及其他计算量大的设计)。

电子电路设计与仿真工具包括SPICE/PSPICE;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面简单介绍前三个软件。

①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim公司推出了基于SPICE的微机版PSPICE(Personal-SPICE)。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。

②multiSIM(EWB的最新版本)软件:是Interactive Image Technologies Ltd在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent信号发生器、Agilent万用表、Agilent示波器和动态逻辑平笔等。同时它还能进行VHDL仿真和Verilog HDL仿真。

③MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。它具有数据采集、报告生成和MATLAB语言编程产生独立C/C++代码等功能。MATLAB产品族具有下列功能:数据分析;数值和符号计算、工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。MATLAB产品族被广泛应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而在不断深化对问题的认识同时,提高自身的竞争力。

2.2 PCB设计软件

PCB(Printed-Circuit Board)设计软件种类很多,如Protel、OrCAD、Viewlogic、PowerPCB、Cadence PSD、MentorGraphices的Expedition PCB、Zuken CadStart、Winboard/Windraft/Ivex-SPICE、PCB Studio、TANGO、PCBWizard(与LiveWire配套的PCB制作软件包)、ultiBOARD7(与multiSIM2001配套的PCB制作软件包)等等。

目前在我国用得最多当属Protel,下面仅对此软件作一介绍。

Protel是PROTEL(现为Altium)公司在20世纪80年代末推出的CAD工具,是PCB设计者的首选软件。它较早在国内使用,普及率最高,在很多的大、中专院校的电路专业还专门开设Protel课程,几乎所在的电路公司都要用到它。早期的Protel主要作为印刷板自动布线工具使用,其最新版本为Protel DXP,现在普遍使用的是Protel99SE,它是个完整的全方位电路设计系统,包含了电原理图绘制、模拟电路与数字电路混合信号仿真、多层印刷电路板设计(包含印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、电路表格生成、支持宏操作等功能,并具有Client/Server(客户/服务体系结构), 同时还兼容一些其它设计软件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板的自动布线,可实现高密度PCB的100%布通率。Protel软件功能强大(同时具有电路仿真功能和PLD开发功能)、界面友好、使用方便,但它最具代表性的是电路设计和PCB设计。

2.3 IC设计软件

IC设计工具很多,其中按市场所占份额排行为Cadence、Mentor Graphics和Synopsys。这三家都是ASIC设计领域相当有名的软件供应商。其它公司的软件相对来说使用者较少。中国华大公司也提供ASIC设计软件(熊猫2000);另外近来出名的Avanti公司,是原来在Cadence的几个华人工程师创立的,他们的设计工具可以全面和Cadence公司的工具相抗衡,非常适用于深亚微米的IC设计。下面按用途对IC设计软件作一些介绍。

①设计输入工具

这是任何一种EDA软件必须具备的基本功能。像Cadence的composer,viewlogic的viewdraw,硬件描述语言VHDL、Verilog HDL是主要设计语言,许多设计输入工具都支持HDL(比如说multiSIM等)。另外像Active-HDL和其它的设计输入方法,包括原理和状态机输入方法,设计FPGA/CPLD的工具大都可作为IC设计的输入手段,如Xilinx、Altera等公司提供的开发工具Modelsim FPGA等。

②设计仿真工作

我们使用EDA工具的一个最大好处是可以验证设计是否正确,几乎每个公司的EDA产品都有仿真工具。Verilog-XL、NC-verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模拟电路仿真。Viewlogic的仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCS-verilog仿真器。Mentor Graphics有其子公司Model Tech出品的VHDL和Verilog双仿真器:Model Sim。Cadence、Synopsys用的是VSS(VHDL仿真器)。现在的趋势是各大EDA公司都逐渐用HDL仿真器作为电路验证的工具。

③综合工具

综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler,可以提供更高级的综合。

另外最近美国又出了一个软件叫Ambit,据说比Synopsys的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它原来的综合软件Synergy。随着FPGA设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Synopsys的FPGA Express, Cadence的Synplity, Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。

④布局和布线

在IC设计的布局布线工具中,Cadence软件是比较强的,它有很多产品,用于标准单元、门阵列已可实现交互布线。最有名的是Cadence spectra,它原来是用于PCB布线的,后来Cadence把它用来作IC的布线。其主要工具有:Cell3,Silicon Ensemble-标准单元布线器;Gate Ensemble-门阵列布线器;Design Planner-布局工具。其它各EDA软件开发公司也提供各自的布局布线工具。

⑤物理验证工具

物理验证工具包括版图设计工具、版图验证工具、版图提取工具等等。这方面Cadence也是很强的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。

⑥模拟电路仿真器

前面讲的仿真器主要是针对数字电路的,对于模拟电路的仿真工具,普遍使用SPICE,这是唯一的选择。只不过是选择不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE现在被Avanti公司收购了。在众多的SPICE中,HSPICE作为IC设计,其模型多,仿真的精度也高。

2.4 PLD设计工具

PLD(Programmable Logic Device)是一种由用户根据需要而自行构造逻辑功能的数字集成电路。目前主要有两大类型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。它们的基本设计方法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等方法,生成相应的目标文件,最后用编程器或下载电缆,由目标器件实现。生产PLD的厂家很多,但最有代表性的PLD厂家为Altera、Xilinx和Lattice公司。

PLD的开发工具一般由器件生产厂家提供,但随着器件规模的不断增加,软件的复杂性也随之提高,目前由专门的软件公司与器件生产厂家使用,推出功能强大的设计软件。下面介绍主要器件生产厂家和开发工具。

①ALTERA:20世纪90年代以后发展很快。主要产品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1K、Stratix等。其开发工具-MAX+PLUS II是较成功的PLD开发平台,最新又推出了Quartus II开发软件。Altera公司提供较多形式的设计输入手段,绑定第三方VHDL综合工具,如:综合软件FPGA Express、Leonard Spectrum,仿真软件ModelSim。

②ILINX:FPGA的发明者。产品种类较全,主要有:XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大的Vertex-II Pro器件已达到800万门。开发软件为Foundation和ISE。通常来说,在欧洲用Xilinx的人多,在日本和亚太地区用ALTERA的人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供的。可以讲Altera和Xilinx共同决定了PLD技术的发展方向。

③Lattice-Vantis:Lattice是ISP(In-System Programmability)技术的发明者。ISP技术极大地促进了PLD产品的发展,与ALTERA和XILINX相比,其开发工具比Altera和Xilinx略逊一筹。中小规模PLD比较有特色,大规模PLD的竞争力还不够强(Lattice没有基于查找表技术的大规模FPGA),1999年推出可编程模拟器件,1999年收购Vantis(原AMD子公司),成为第三大可编程逻辑器件供应商。2001年12月收购Agere公司(原Lucent微电子部)的FPGA部门。主要产品有ispLSI2000/5000/8000,MACH4/5。

④ACTEL:反熔丝(一次性烧写)PLD的领导者。由于反熔丝PLD抗辐射、耐高低温、功耗低、速度快,所以在军品和宇航级上有较大优势。ALTERA和XILINX则一般不涉足军品和宇航级市场。

⑤Quicklogic:专业PLD/FPGA公司,以一次性反熔丝工艺为主,在中国地区销售量不大。

⑥Lucent:主要特点是有不少用于通讯领域的专用IP核,但PLD/FPGA不是Lucent的主要业务,在中国地区使用的`人很少。

⑦ATMEL:中小规模PLD做得不错。ATMEL也做了一些与Altera和Xilinx兼容的片子,但在品质上与原厂家还是有一些差距,在高可靠性产品中使用较少,多用在低端产品上。

⑧Clear Logic:生产与一些著名PLD/FPGA大公司兼容的芯片,这种芯片可将用户的设计一次性固化,不可编程,批量生产时的成本较低。

⑨WSI:生产PSD(单片机可编程***
芯片)产品。这是一种特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在线编程),集成度高,主要用于配合单片机工作。

顺便提一下:PLD(可编程逻辑器件)是一种可以完全替代74系列及GAL、PLA的新型电路,只要有数字电路基础,会使用计算机,就可以进行PLD的开发。PLD的在线编程能力和强大的开发软件,使工程师可以几天,甚至几分钟内就可完成以往几周才能完成的工作,并可将数百万门的复杂设计集成在一颗芯片内。PLD技术在发达国家已成为电子工程师必备的技术。

2.5 其它EDA软件

①VHDL语言:超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE的一项标准设计语言。它源于美国国防部提出的超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)计划,是ASIC设计和PLD设计的一种主要输入工具。

②Veriolg HDL:是Verilog公司推出的硬件描述语言,在ASIC设计方面与VHDL语言平分秋色。

③其它EDA软件如专门用于微波电路设计和电力载波工具、PCB制作和工艺流程控制等领域的工具,在此就不作介绍了。

3 EDA的应用

EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统),为今后工作打下基础。

科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。

在产品设计与制造方面,包括计算机仿真,产品开发中的EDA工具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品测试等各个环节。如PCB的制作、电子设备的研制与生产、电路板的焊接、ASIC的制作过程等。

从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

4 EDA技术的发展趋势

从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用广泛、工具多样、软件功能强大。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PCB制板和小型ASIC领域,仅有小部分(约11%)的设计人员开发复杂的片上系统器件。为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要引进和学习一些最新的EDA技术。

在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方面发展。

外设技术与EDA工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。

中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA市场的竞争。

在EDA软件开发方面,目前主要集中在美国。但各国也正在努力开发相应的工具。日本、韩国都有ASIC设计工具,但不对外开放。中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久的将来会有更多更好的设计工具在各地开花并结果。据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年夏合增长率分别达到了50%和30%。

EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术的应用广泛,现在已涉及到各行各业。EDA水平不断提高,设计工具趋于完美的地步。EDA市场日趋成熟,但我国的研发水平仍很有限,尚需迎头赶上。

;

IC验证工程师 去做EDA工具软件开发工程师 从职业面和前景来说好吗?EDA公司是cadence mentor这样的公司。

做的方向挺好,就是坑太少,全世界总共就是那么几家公司招这方面的人,当然,一些大的设计公司,特别是做系统芯片的,如MTK,海思等也会招EDA方面的工程师,但这种职位一般都是侧重于EDA衍生工具开发,或者说是设计方法学,以辅助设计工程师提高工作效率。

eda技术就业怎么样

EDA的全称是电子设计自动化,是一种工具,主要针对电子线路或集成电路的,就业方面一般没有专招EDA的吧,因为这种东西专业性比较强,你如果没有电路方面的系统知识,专搞EDA工具是没有前途的,现在也有专门招一些版图的,针对电子线路

就是PCB版图,对集成电路就是layout,很需要工作经验

关于eda软件开发工程师的前景和eda工具开发就业前景的介绍到此就结束了,不知道你从中找到你需要的信息了吗 ?如果你还想了解更多这方面的信息,记得收藏关注本站。

在线客服
途傲科技
免费获取方案及报价
2024-05-04 16:29:49
您好!欢迎来到途傲科技,我们致力于软件定制开发,核心团队拥有10年以上开发经验,项目案例1000+。 目前已合作客户有【中电金信】【中建土木】【齐鲁壹点】【中软国际】等。为了节省您的时间,您可以留下姓名,手机号(或微信号),产品经理稍后联系您,免费帮您出方案和预算! 全国咨询专线:18678836968(同微信号)。
🔥线🔥
您的留言我们已经收到,现在添加运营微信,我们将会尽快跟您联系!
[运营电话]
18678836968
取消

选择聊天工具: